diff options
author | Stefan Kangas <stefan@marxist.se> | 2021-09-24 14:46:56 +0200 |
---|---|---|
committer | Stefan Kangas <stefan@marxist.se> | 2021-09-26 13:23:57 +0200 |
commit | c78e16962e63895d340f80cf245fad568a7da770 (patch) | |
tree | fd8912ae6e025f7c20ecce2eea1708e092a5093f /lisp/progmodes | |
parent | f4ea15907aeb020b80d021a8d6bf212bcde08ab9 (diff) | |
download | emacs-c78e16962e63895d340f80cf245fad568a7da770.tar.gz emacs-c78e16962e63895d340f80cf245fad568a7da770.tar.bz2 emacs-c78e16962e63895d340f80cf245fad568a7da770.zip |
; Adjust overly long docstrings to fit 80 characters
Diffstat (limited to 'lisp/progmodes')
-rw-r--r-- | lisp/progmodes/cc-cmds.el | 2 | ||||
-rw-r--r-- | lisp/progmodes/flymake-proc.el | 7 | ||||
-rw-r--r-- | lisp/progmodes/idlwave.el | 3 | ||||
-rw-r--r-- | lisp/progmodes/prolog.el | 3 | ||||
-rw-r--r-- | lisp/progmodes/verilog-mode.el | 14 |
5 files changed, 19 insertions, 10 deletions
diff --git a/lisp/progmodes/cc-cmds.el b/lisp/progmodes/cc-cmds.el index 6c3da667bfc..d40433a9b0d 100644 --- a/lisp/progmodes/cc-cmds.el +++ b/lisp/progmodes/cc-cmds.el @@ -480,7 +480,7 @@ function to control that." ;; This function is only used in XEmacs. (defun c-hungry-delete () - "Delete a non-whitespace char, or all whitespace up to the next non-whitespace char. + "Delete non-whitespace char, or all whitespace up to next non-whitespace char. The direction of deletion depends on the configuration: If the function `delete-forward-p' is defined and returns non-nil, it deletes forward using `c-hungry-delete-forward'. Otherwise it deletes diff --git a/lisp/progmodes/flymake-proc.el b/lisp/progmodes/flymake-proc.el index 27b46a45c50..7f2aa0f469f 100644 --- a/lisp/progmodes/flymake-proc.el +++ b/lisp/progmodes/flymake-proc.el @@ -902,7 +902,7 @@ can also be executed interactively independently of temp-dir)))) (defun flymake-proc--delete-temp-directory (dir-name) - "Attempt to delete temp dir created by `flymake-proc-create-temp-with-folder-structure', do not fail on error." + "Attempt to delete temp dir DIR-NAME, do not fail on error." (let* ((temp-dir temporary-file-directory) (suffix (substring dir-name (1+ (length (directory-file-name temp-dir)))))) @@ -919,7 +919,8 @@ can also be executed interactively independently of (defvar-local flymake-proc--base-dir nil) (defun flymake-proc-init-create-temp-buffer-copy (create-temp-f) - "Make a temporary copy of the current buffer, save its name in buffer data and return the name." + "Make a temporary copy of the current buffer, save its name in buffer data. +Return the name." (let* ((source-file-name buffer-file-name) (temp-source-file-name (funcall create-temp-f source-file-name "flymake"))) @@ -1007,7 +1008,7 @@ Return full-name. Names are real, not patched." buildfile-name source-file-name))))) (defun flymake-proc--init-create-temp-source-and-master-buffer-copy (get-incl-dirs-f create-temp-f master-file-masks include-regexp) - "Find master file (or buffer), create its copy along with a copy of the source file." + "Find master file (or buffer), create its copy and a copy of the source file." (let* ((source-file-name buffer-file-name) (temp-source-file-name (flymake-proc-init-create-temp-buffer-copy create-temp-f)) (master-and-temp-master (flymake-proc--create-master-file diff --git a/lisp/progmodes/idlwave.el b/lisp/progmodes/idlwave.el index d6828eeffbb..4224e47d16d 100644 --- a/lisp/progmodes/idlwave.el +++ b/lisp/progmodes/idlwave.el @@ -1522,7 +1522,8 @@ No spaces before and 1 after a comma A minimum of 1 space before and after `=' (see `idlwave-expand-equal'). (idlwave-action-and-binding \"=\" (lambda (_) (idlwave-expand-equal -1 -1))) Capitalize system variables - action only - (idlwave-action-and-binding idlwave-sysvar (lambda (_) (capitalize-word 1) t))" + (idlwave-action-and-binding idlwave-sysvar + (lambda (_) (capitalize-word 1) t))" (if (not (equal select 'noaction)) ;; Add action (let* ((table (if select 'idlwave-indent-action-table diff --git a/lisp/progmodes/prolog.el b/lisp/progmodes/prolog.el index 33ca01cc754..74a023775f8 100644 --- a/lisp/progmodes/prolog.el +++ b/lisp/progmodes/prolog.el @@ -2136,7 +2136,8 @@ A return value of N means N more left parentheses than right ones." (line-end-position))))) (defun prolog-electric--if-then-else () - "Insert spaces after the opening parenthesis, \"then\" (->) and \"else\" (;) branches. + "Insert spaces after the opening parenthesis. +\"then\" (->) and \"else\" (;) branches. Spaces are inserted if all preceding objects on the line are whitespace characters, parentheses, or then/else branches." (when prolog-electric-if-then-else-flag diff --git a/lisp/progmodes/verilog-mode.el b/lisp/progmodes/verilog-mode.el index ac6a8fbbcb1..d98230d9a0e 100644 --- a/lisp/progmodes/verilog-mode.el +++ b/lisp/progmodes/verilog-mode.el @@ -4038,9 +4038,12 @@ Some other functions are: \\[verilog-sk-repeat] Insert a repeat (..) begin .. end block. \\[verilog-sk-specify] Insert a specify .. endspecify block. \\[verilog-sk-task] Insert a task .. begin .. end endtask block. - \\[verilog-sk-while] Insert a while (...) begin .. end block, prompting for details. - \\[verilog-sk-casex] Insert a casex (...) item: begin.. end endcase block, prompting for details. - \\[verilog-sk-casez] Insert a casez (...) item: begin.. end endcase block, prompting for details. + \\[verilog-sk-while] Insert a while (...) begin .. end block, + prompting for details. + \\[verilog-sk-casex] Insert a casex (...) item: begin.. end endcase block, + prompting for details. + \\[verilog-sk-casez] Insert a casez (...) item: begin.. end endcase block, + prompting for details. \\[verilog-sk-if] Insert an if (..) begin .. end block. \\[verilog-sk-else-if] Insert an else if (..) begin .. end block. \\[verilog-sk-comment] Insert a comment block. @@ -6580,7 +6583,8 @@ Return >0 for nested struct." nil)))) (defun verilog-at-constraint-p () - "If at the { of a constraint or coverpoint definition, return true, moving point to constraint." + "If at the { of a constraint or coverpoint definition, return true. +Also move point to constraint." (if (save-excursion (let ((p (point))) (and @@ -14985,7 +14989,9 @@ but instead, [[Fill in here]] happens!. (provide 'verilog-mode) +;;TODO: Could `byte-compile-docstring-max-column' be decreased? ;; Local Variables: +;; byte-compile-docstring-max-column: 90 ;; checkdoc-permit-comma-termination-flag:t ;; checkdoc-force-docstrings-flag:nil ;; indent-tabs-mode:nil |